Average salary: Rs64,530 /yearly
More statsSearch Results: 44,648 vacancies
..., and provide feedback on design feasibility, timing and power .
Write and implement block level and top-level constraints for synthesis,perform timing closure and power analysis.
Develop and implement synthesis flows and methodologies, and driveimprovements in the...
...digital design principles , and experience with RTL coding in Verilog/System Verilo g.
In-depth knowledge of synthesis methodologies and tools from leading EDA vendors.
Experience with writing design constraints for synthesis, static timing analysis, timing closure,...
...Title: STA/Synthesis Engineer Location: Bengaluru or Hyderabad
Description:
1. Performing Timing closure of partitions at SoC level
2. Providing placement feedback with respect to timing
3. Reduction of clock ID, by analyzing necessary tap points
4. Providing clock...
...Company: Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware Engineering General Summary:
As a leading technology innovator, Qualcomm pushes the boundaries of what's possible to enable next-generation experiences and drives digital...
Key Skills : STA, Synthesis, Pnr, sign offAs a Physical Design Engineer at our company, you will play a crucial role in the design and implementation of advanced semiconductor products. You will be responsible for transforming logic designs into physical layouts that meet performance...
...Skills required
• 5+ years of experience in Digital Implementation flow on advanced nodes
• Expertise in one or more domains – Synthesis/PnR/STA
• Knowledge of scripting languages & Flow development is a big plus
• Must have excellent debugging skills and ability to...
...We are looking for an STA Engineer in Bangalore for the following role and with the given background/skill sets. Does this sound like a good role for you?.
Senior STA/Synthesis Engineer (Timing Constraint Manager product)
Location: Bangalore
Experience: 4yrs to 8yrs...
...A "Full Chip STA Lead" is a key role in semiconductor companies, responsible for leading Static Timing Analysis (STA) activities for complex... ...understanding of setup and hold time violations, clock tree synthesis (CTS), and optimization techniques.
# CDC and SI Analysis: Experience...
...Hi Folks,
We are hiring for STA Engineer
Exp -3-7 years
Location: Bangalore/ Ahmedabad / Kochi / Vizag
JD
Deep understating and experience of STA tool Tempus/PrimeTime /Tweaker/ DMSA(PTECO) .
Knowledge of timing corners/modes, process variations and signal...
...Knowledge of System and Process like MPPAP, MPDS & APQP, GD&T
# Well acquainted with Techno commercial of Trims parts (RFQ / Quote Synthesis etc)
# Monitoring & Tracking Part development as per MPDS guidelines
# Releasing schedules & Procuring parts based on project...
Experience: 4+ years
Skills Required:
STA timing check.
Eco prepare
VCLP
LDRC
Formality
...Job description:
Work on 4nm/3nm sub-micron Technologies
Synthesis of the Top level and Block level
Co-work with RTL and DFT engineers... ...experience with top/block level Synthesis, Timing closure (STA), Physical Design feedbacks.
~ Good experience using PrimeTime...
...Company: Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware Engineering General Summary:
As a leading technology innovator, Qualcomm pushes the boundaries of what's possible to enable next-generation experiences and drives digital...
...India Private Limited Job Area: Engineering Group, Engineering Group Hardware Engineering General Summary:
Overview: Experienced STA/Timing Engineer with 6-8 Years of hands-on experience on timing sign off/convergence for complex SOCs. Ability to start immediately on...
...is a wholly owned subsidiary of BNP Paribas SA, European Union’s leading bank with an international reach. With delivery centers located... ...communities we operate in.
About Business line/Function:
Financial Synthesis HOR is a team in FSS Worldwide Finance Shared Service department...
We are seeking a highly skilled Senior Static Timing Analysis (STA) Engineer with 5-10 years of experience in digital ASIC design and... ...timing closure targets.- Develop and maintain timing constraints for synthesis, place and route, and timing closure.- Utilize industry-standard...
...Work Location: Bangalore
Skills :
~4+ years of experience in STA.
~ Well-versed with the timing closure (STA), timing closure methodologies.
~ Pre/Post-layout constraint development to timing closure.
~ Handshake with the design team and develop functional/DFT constraints...
...Title: PD(Synthesis) Engineer Description
Full chip rollup , Synthesis, Front end /Back end interactions, CDC, PAD IOs, Package design
Full chip timing - Primetime constraints, clocks.
Familiarity with low power design. UPF flow for defining power intent of chips...
...MAIN TASKS
Leads and motivates a research team and is responsible for overall team performance.
Support R&D projects with respect... ...possess sound theoretical and practical knowledge in organic synthesis and process research.
Working experience as a process lab team...
Job Description
Must know full-chip STA, SDC construction. good in timing analysis. Co-work with team members to drive timing closure.
Familiar to DMSA/Tweaker ECO flow
Experience: 4+ Years
Job Location: Bangalore