Average salary: Rs2,116,012 /yearly
More statsSearch Results: 21,233 vacancies
...Job Description
Company: Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware Engineering General Summary:
Experience in handling complex data path oriented Multi-million gate synthesis. Working Knowledge on Physical synthesis...
...tools and flow.
The candidate will work closely with design, applications, and test engineering.
In addition, strong collaboration and communication... ...multitask in a dynamic environment, able to create and implement new solutions where required.
Must be good in...
...Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware... ...Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems... ...and analyses of designs as well as implements designs with the best power, performance...
...SanDisk®, Tegile™, Upthere™, and WD® brands. Job Description
Lead a product line for Hardware development Engineering function that delivers PCB or substrate design based products and development boards
Work with cross functional teams like ASIC, memory, Systems, FW...
...Required: 6+ years
Education: Btech/Mtech Electronics/Electrical engineering
Skills/Experience:
- Experience in Analog Mixed-signal... ...and smaller.
- Good understanding of ESD and latchup layout design considerations.
- Familiarity with ASIC physical design flow:...
...Minimum Qualifications:
Experience leading chip architecture and/or digital design
Strong engineering background in embedded system design including ASIC microarchitecture, computer architecture, SoC architecture, and custom or standard DSP or hardware accelerator microarchitecture...
...Responsibilities:
Ownership of complete physical implementation at block level & chip level. Responsible for delivering timing clean blocks/chip level that meet design targets.
DRC, LVS & IR closure. Evaluates all aspects of the physical design flow from place and route...
...Company: Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware Engineering General... ...project work.
Responsibilities :
Understand the design specification and implementation, define the verification scope, develop test plans, tests...
Our client provides end to end semiconductor design services covering digital, analog, system design & embedded software. They are poised... ...services company.They are looking for Synthesis / Implementation to be based at Bangalore / Noida with the following : - 4 to 11...
...Description
Seeking a mid-level software engineer for the Memory Systems Data Analytics... ...with software, firmware or test platform design background and exceptional interpersonal... ...compliance with industry standards.
Implement and execute Flash Endurance & Reliability...
Our MNC client is a leading semiconductor company designing IC products in High-Performance Timing (Clocking), RF and Power Management area... ...associated hardware, algorithms and software.- Designing and Implementing various Automation methods for bench characterization.-...
...Description
Company: Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Systems Engineering General Summary:... ...for all. As a Qualcomm Systems Engineer, you will research, design, develop, simulate, and/or validate systems-level software,...
...enhancements for the product and create micro-architecture and detailed design for some of the components of the Test Environment for the... ...high quality verification with a small team of verification engineers.
The role offers ample scope to mentor junior engineers and interns...
...Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware... ...definition and architecture team.
Developing implementation (microarchitecture and coding)... ...Define various aspects of the block level design such as block diagram, interfaces, clocking...
...Job Overview: As a Senior Staff Design engineer, you will be part of a team with a charter to develop new SerDes IPs that solve our customers’ challenges.
Responsibilities:
•Design of High Speed SERDES products on leading-edge technology nodes
•Design and development...
...Job Description and Requirements
Job Title : ASIC Digital Design, Staff Engineer
Designation: ASIC Digital Design, Staff Engineer
Job Code : ENGADDL3 . The candidate will be part of the R&D in Solutions Group at our Bangalore Design Center, India. The position...
...rapid (Power, Performance, Area) PPA closure on high-performance designs, using Fusion Compiler/IC Compiler II. You would be working very... ...of exp, who can understanding Foundry enablement needs, and tie them to PPA closure by upgrading capabilities of the EDA engines....
...to meet customer & quality requirements
* Participate in the implementation of Low Cost Test solutions to meet target DPPM's
* Work in... ...of the product deliverables.
* Effectively represent Product Engineering function in various technical and functional forums.
* Work...
...Take over technical leadership for highly complex designs in Physical Design projects
Translate product requirements into layout... ...qualifications:
A degree in Electrical/Electronic Engineering, Microelectronics or a similar field
At least 11 years...
...Synopsys is looking for a Senior Staff CAD Solutions Engineer , Design Implementation who will be directly accountable for some of our key customers in the RTL-to-GDS implementation flow . In this role, you will be for working closely with the top customers to know their...