Average salary: Rs64,530 /yearly
More statsSearch Results: 3,552 vacancies
We are seeking a highly skilled Senior Static Timing Analysis (STA) Engineer with 5-10 years of experience in digital ASIC design and... ...closure targets.- Develop and maintain timing constraints for synthesis, place and route, and timing closure.- Utilize industry-standard...
...Physical Design Engineer · Experience on RTL2GDS Implementation i.e. Synthesis, Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification. · Should have experience on Physical Design Methodologies and lower tech nodes like 7nm, 6nm & 5nm....
...perform placement, timing closure in P&R mode, perform clock tree synthesis , routing etc
3.Timing closure/STA
a.Perform STA using primetimeSi or Tempus or any industry standard STA engine, timing closure, ECO generation, timing correlation
b.Deep...
...a highly skilled and experienced Lead PnR Engineer with 7 to 10 years of experience to join our... ...floorplanning, placement, clock tree synthesis, routing, timing closure, and physical verification... ...using advanced static timing analysis (STA) techniques and tools.- Power and Area...
...Knowledgeable in full SOC design and manufacturing cycle with specialized/direct experience in multiple areas; RTL/Custom Logic design, Synthesis, P&R, STA, Integration, Verification, Characterization and ATE test
· Strong understanding of relationships between Hardware, Firmware...
...We’re looking for a RTL2GDS Application Engineer to join the team.
Does this sound like... ...preparation, floorplanning, placement, clock tree synthesis, routing, timing and DRC closure, and low... ..., Genus),
Tool knowledge (preferred): STA (Primetime, Tempus)
Inclusion and...
.... Job Description:
Work with other Product Engineers and R&D to develop new DSO.ai features and applications.
Work with other Product... ...physical implementation and signoff)
Deep understanding of Synthesis and Physical Design Methodologies and Flows
Strong knowledge...
...Ethernet) is highly desirable.
~ Knowledge in Verilog/VHDL coding, Spyglass LINT/CDC/RDC checks and waiver creation.
~ Knowledge in Synthesis, STA, Formal checking, etc.
~ Knowledge in Verification and debugging issues.
~ Understanding of RTL to GDS flow.
~ Familiarity...
...Overview
The ASIC Design Engineer plays a crucial role in our organization by developing integrated circuits from concept to mass production... ...and verification.
Strong understanding of digital design synthesis and static timing analysis.
Experience with industrystandard...
...Requirements: Bachelor or Master’s degree in Electrical or Computer Engineering
Qualifications:
Capable of leading complex IP, SOC... ...signoff flows including Lint, CDC, Formal Verification, Synthesis, Constraints and STA Timing Closure
Knowledge of DFT including Scan, ATPG,...
We are hiring for the Physical Design Engineer / Leads role.JOB Designation : Physical Design... ...nodes.- Good exposure to Floor planning, CTS, STA, Physical Verification, and Basic... ...involves working on floor planning, clock tree synthesis (CTS), static timing analysis (STA), physical...
...in interface communication protocols.
~ Strong experience in Synthesis, timing & front-end design tools. Experience with AMD Xilinx family... ....
Essential:
~ Bachelors/Masters in Electronics Engineering (ECE/EEE/EIE).
Perks & Benefits:
We provide seamless...
...by fostering a Continuous Exploration process that drives the synthesis of a Vision, a Roadmap, and Backlogs, and through Pre- and Post... ...collaboration between teams and System and Solution Architects/Engineering
Work with Product and Solution Management, Product Owners, and...
...with reduced risk.
ASIC Digital Design Engineer, Implementation Lead
Here we go, look for... ...of experience.
-- Proficiency with Synthesis, timing closure and industry standard tool... ...value add.
-- Static Timing Analysis (STA) exposure is desirable.
-- Working with...
...RTL Design Engineers at Hyderabad
We need experienced engineers to work on cutting edge technology and with complex functionality.... ...Knowledge of IP and SoC design flows and methodologies (Lint, CDC, Synthesis, power).
Ability to work with local and remote teams (...
...Analog Layout Design Engineer
You will be doing Analog Layout in advanced process technologies, serving global Semiconductor product... ...decision-making skills
Adaptable, Flexible, Global Approach/Synthesis, Creative
Willing to work on customer site for deployment and...
...Planning, partitioning, placement, scan-chain-reordering, clock tree synthesis, timing optimization, SI aware routing, timing analysis/closure... .... · Provide technical guidance, mentoring to Physical Design Engineers.
· Interface with front-end ASIC teams to resolve issues.
·...
...Design Verification Engineers at Semiconductor product MNC design center in Bengaluru / Hyderabad / Noida
We need experienced engineers... ...skills
Traits:
Adaptable, Flexible, Global Approach/Synthesis, creative and capable of working independently as well as a team...
...will require close interactions with Design, SoC , Validation, Synthesis & PD teams for design convergence. Candidate must be able to take... ...: Bachelor or Master’s degree in Electrical and/or Computer Engineering
Minimum Qualifications:
Architect Block and SS Level Test...
...Job Title: Physical Design Engineer Duration: Fulltime
Experience: 4+yrs to Any
Location:
Job Description:
· Experience on Netlist... ...Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification.
· Should have...