Average salary: Rs677,267 /yearly
More stats ...Exciting Opportunity for Senior Physical DesignEngineer
Are you a seasoned professional with over 3 years of experience in Physical Design? We're looking for a dynamic and skilled individual to join our team!
Key Qualifications:
RTL to GDS including, Synthesis +...
...Description
Company: Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware Engineering General Summary... ...Although this role has some expected minor physical activity, this should not deter otherwise qualified applicants...
...it all with the world's most advanced technologies for chip design and software security. If you share our passion for innovation... ...products to market quickly with reduced risk.
ASIC Physical Design Engineer II
We're looking for ASIC Physical Design Engineer with 3...
...Responsibilities:
Ownership of complete physical implementation at block level & chip level. Responsible for delivering timing clean blocks/chip level that meet design targets.
DRC, LVS & IR closure. Evaluates all aspects of the physical design flow from place and...
...it all with the world's most advanced technologies for chip design and software security. If you share our passion for innovation... ...products to market quickly with reduced risk.
ASIC Physical Desing Engineer
We're looking for ASIC Physical Design Engineer to join our...
...perspectives.
AMD together we advance_
SE NIOR SILICON DESIGN ENGINEER
THE ROLE :
We are looking for an adaptive, self-motivative... ...to take on problems.
KEY RESPONSIBILITIES:
~ Digital physical implementation of multiple blocks starting from synthesis and...
...Seeking a highly motivated individual, with expertise in IC design and physical implementation for a group with growth opportunities.
Responsibilities... ...technology nodes
The successful candidate:
- has solid engineering understanding of the underlying concepts of IC design
-...
...role, you will be responsible for the Physical Implementation of high speed... ...CAD) and the product team.
As a Sr Serdes Physical Implementation Engineer, the successful candidate will work... ...5 + years of digital or physical design experience with recent contribution...
...knowledge in the DDR power signoff would be an added advantage. Should be very hands-on and able to technically manage a team of 4-6 junior engineers towards successful completion of project on-time and with top quality.
Typically requires a minimum of 9+ years of related...
...Job Description
Job Description: We are looking for Sr. Physical Design Engineer with strong RTL2GDSii Skills. This role responsibilities include Logic Synthesis, Floor-planning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, Formal verification, VC-LP, DRC...
...timing closure, implementation would be an added advantage. Should be very hands-on and able to technically lead a team of 4-6 junior engineers towards successful completion of project on-time and with top quality.
Typically requires a minimum of 7+ years of related...
...SR Physical design Engineer(PD) - ACL Digital - Europe based
Full–time
Job Location- Bangalore / Hyderabad
Exp.-4.5+yrs(Industrial experience)
Notice Period- Immediately - 30days
JD-
Have good knowledge of entire physical design process...
...A Fortune 100 Organization Physical Design Engineer (Other Roles can be considered for exceptional candidates)
Hands-on Role. also mentor team members technically
Location: Bangalore
you will be responsible for the high-performance CPU implementation, and timing...
...Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware... ...Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems... ...and development of custom macro using
Physical design at HM level using Icc2/Innovus...
...Please find the JD Below:
Physical Design Engineer/ Sr. Physical Design Engineer
Experience : 3yrs to 8Years
Location: Bangalore
Skill: Physical Design
3+ year’s Experience in Physical Design engineering
Experience serving as Senior physical design engineer...
...Job Description
We are looking for Physical Design Engineer with strong RTL2GDS Skills. This role responsibilities include Synthesis, Floor-planning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, Formal verification, VCLP, DRC/LVS clean-up and delivery of...
...Qualcomm India Private Limited
Job Area:
Engineering Group, Engineering Group Hardware... ...Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems... ...and development of custom macro using
Physical design at HM level using Icc2/Innovus...
...Wipro Hiring
Principal / Staff / Sr. Staff Physical Design Engineers
Experience : Min 8-18 Years.
In-depth knowledge and hands-on experience on Netlist2GDSII Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification...
...Qualcomm India Private Limited
Job Area:
Engineering Group, Engineering Group Hardware... ...Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems... ...this role has some expected minor physical activity, this should not deter otherwise...
...Hands-on experience in floor planning, placement optimizations, CTS and routing.
Hands-on experience in block/top level signoff STA, physical verification (DRC/LVS/ERC/antenna) checks and other reliability checks(IR/EM/Xtalk)
Exposure in physical implementation of timing/...
...customers in India and globally. With expertise in RTL Design, Integration, Verification, STA-Synthesis, Physical Design, and tapeout, NikSperri can execute complete... ...is a full-time on-site role as a Physical Design Engineer at NikSperri Technologies Private Limited, located...
...ROLE: Physical Design
Experience : 4 - 10 years
Tool Experience: Innovus is mandatory
Job Description:
Deep understanding of the concepts related to synthesis, place & route, CTS, timing convergence, IR/EM checks and signoff DRC/LVS closure.
Responsible for...
...Location: Ahmedabad/Noida/Bangalore/Hyderabad Notice Period: 0-15 Days
Job Description:
Working experience of Physical Design Implementation
Working experience of Physical Verification, Timing Signoff.
Good attitude to learn and deliver.
Work from office...
Job Description: Job Profile:
• Need to have Basic understanding of DRM and need to translate that into
• Need to be Proficient in writing Cadence TechLEF and Synopsys TechFile
• Need to have knowledge on how to create Rapid MSOA kits.
• Need to have knowledge...
...electronics and the world.
Job Description
Location: Noida
Overview
We are looking for a Sr Staff Engineer to support the Back End Physical Design aspects of high-performance compute SOC/MCU development. The candidate is expected to be hands-on and have intermediate...
...Hi Folks,
Greetings from Tech Mahindra!!
Role: Physical Design Engineer
Exp-3-7 years
Location: Bangalore/ Kochi/ Ahemdabad/ Vizag
Job Description
RTL to GDS including, Synthesis + PNR
• Fusion compiler / Cadence flow (Innovus)
• Good understanding Macro...
...products in the space of Client, Graphics, Data Centre...etc.Analog Design Engineer is responsible for low power and reliable analog circuit... ...Competencies and Experiences: Deep understanding of Circuit design/ physical design of Analog Designs on advanced process technologies....
...countries.
We are building teams that are designing, developing, and manufacturing next-... ...Enphase is looking for experienced SoC design engineers with SoC Logic Integration, Synthesis &... ...ability to bring complex SOCs into the physical world and into production.
#SoC Integration...
...Position Description: Exp: 7- 12 Yrs
· Perform physical design implementation, including floor planning, power grid design, place and route, clock tree synthesis, timing closure, power/signal integrity signoff, physical verification (DRC/LVS/Antenna), EM/IR signoff, DFM...
...Chennai and Bhubaneswar in India. Eximietas Design is a leading technology firm specializing... ...is fueled by the expertise of our engineering leadership team, drawn from industry giants... ...Broadcom, and Sun.
Title: Senior Physical Design Engineer
Experience : 4+ years...