Average salary: Rs407,721 /yearly
More statsSearch Results: 605 vacancies
Rs 6 - 20 lakhs p.a.
...tuning for implementation.
* Excellent debugging skills in implementation issues and ability to come up with creative solutions.
Physical Design ,Block level floorplanning,power planning,IR drop analysis
Designation: Physical Design Engineer
Vacancies: 4...
...hands-on experience on Netlist2GDSII Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power.
# Integrity Analysis, Physical Verification.
#•Should have experience on Physical Design Methodologies and sub- micron technology of 28nm and lower technology...
Experience: 10+ years teaching PE, published in sports pedagogy journals, presented at national conferences, curriculum development expertise... ...Philosophy: Emphasizes holistic development, fosters love for physical activity, integrates latest research and tech for student...
...an experienced candidate to cover the position of Junior School Teaching Assistant. We are looking for candidates who understand collaboration... ...extensive knowledge in the appropriate personal, academic and physical milestones for pupils within this age group. Placing the needs...
...taking abilities in students while focusing on discovering their passions.
Role Description
This is a full-time on-site role for a Teaching Assistant at FLAME University. The Teaching Assistant will be responsible for assisting faculty members in conducting classes,...
...offline education.
Role Description
This is a full-time on-site role for a Senior Physics Teacher for JEE/NEET. The Senior Physics Teacher will be responsible for lesson planning, teaching physics topics, and providing JEE Advanced and NEET level physics education to...
...below mentioned post in Pune, Navi Mumbai & Panchgani Region. PGT - Physics For Pune Region (Dhankawadi & Balewadi) Interview Date: Saturday... ...: M.Sc., B.Ed. Industry: Education / Training Functional Area: Teaching / Training Location: Pune (Maharashtra,India), Navi Mumbai (New...
...We are looking to hire a Middle School - Physics Teacher for Vibgyor High - Magarpatta Pune location.
Interested candidates can share... ...the learning process/session in classroom.
Gear the process of teaching to the needs of all students with a concern for their interests,...
"We are Hiring !!!!!
Subject :- Physics Faculty Who can teach IITJEE & NEET
Salary :- Upto 10 lpa
Process :- Online Demo
Location :- Pune ( Maharashtra)
Qualification :- Faculty Must have bachelor or Master Degree From IIT or NIT Only.
Experience:- Minimum 3...
We are hiring for the Physical Design Engineer / Leads role.JOB Designation : Physical Design Engineer / Leads.JOB Location : Bangalore, Hyderabad, Chennai, Noida, Pune, Ahmedabad. Mode of Hiring : Full-time [FTE], Onsite, 5 Days. Notice Period : Immediate to 15 days. Experience...
...Position Description: Exp: 7-12 Yrs
· Perform physical design implementation, including floor planning, power grid design, place and route, clock tree synthesis, timing closure, power/signal integrity signoff, physical verification (DRC/LVS/Antenna), EM/IR signoff, DFM Closure...
CSIR OR (IIT JAM/GATE)
9 HOURS SHIFT
4 HRS LECTURE ONLINE AND OFFLINE ONSITE
...Job Description and Requirements
In this role, you will be responsible for the Physical Implementation of high speed interface IPs and test-chips, driving all aspects from RTL to GDS including timing and physical sign-off, in close interaction and collaborative team work...
Requirement of Teacher for TGT Physical Education in CBSE School,Pune
qualification -B.PED\M.PED
must have good English
minimum 2 to 3 years of experience
salary as per CBSE guidelines or 20k to40k
job for part time
...and engaging with children with a caring disposition. Requirement Physics Teacher Grades 6 to 10 To have the skills of academic research... ...with good communication in English is a must. Functional Area: Teaching / Training Location: Pune (Maharashtra, India) Apply by email. Pashan...
...absolute command over their class conduction. We at Being Pupil always strive for quality and ease. Being Pupil specializes in facilitating teaching practices for educators in accordance to their ease and techniques. We offer you a unique chance to join our community of educators,...
...mark in the Academics. We offer positions for fresher's
based on their skills especially for offline classroom and
E-Learning teaching.
Please find below the :
Essential skills: M.Sc /MA.
Good Teaching, Personality & Communication skills
Qualified CSIR JRF...
Looking for school teaching vacancies for the subjects of Physics or Urdu in Pune? Check out this job page to learn of teaching vacancies for these subjects at Abeda Inamdar Jr. College for Girls in Pune, Maharashtra.
Keen to start a career in teaching? You could apply for the openings for teachers through Teach for India, an organization that provides education to underprivileged children. Details of the eligibility requirements and application process are provided below.
Indrayani Institute of Pharmaceutical Education and Research Approved by Dr. Babasaheb Ambedkar Technological University, AICTE. New Delhi has announced to fill the job notification for Various Teaching & Non-Teaching Posts. Apply within 10days from 11/7/2023.