Average salary: Rs948,302 /yearly
More stats ...semiconductor services company.They are looking for Synthesis / Implementation to be based at Bangalore / Noida with the following : - 4 to 1... ...design and PD teams in arriving at the optimal design for physical design closure.- Deep understanding of the development of timing...
...Electrical Engineering or equivalent.
~4 years of experience in physical design, including clock/voltage domain crossing, Design for... ....
Responsibilities
Develop all aspects of ASIC RTL2GDS implementation for high PPA designs
Manage block and full-chip level physical...
...equivalent practical experience.
~2 years of experience in physical design.
~ Experience in floor-planning or block integration.... ...equivalent practical experience.
Experience in low-power design implementation (e.g., UPF, multi-voltage domains, power gating)....
...qualifications:
~ Bachelor's degree in Electrical Engineering or equivalent practical experience.
~10 years of experience in physical design full chip implementation.
~ Experience in one or more synthesis/PnR tools (e.g., Genus, Innovus, DC, FC).
~ Experience in sign-off...
...Overview:
The role of a Physical Design Engineer is crucial to our organization as it involves the implementation and validation of complex integrated circuit designs. This role plays a vital part in ensuring the overall performance power and cost objectives of our semiconductor...
...Role Description As a full-time on-site role for a Lead Physical Design at SmartSoC Solutions , you will be responsible for owning physical design implementation of complex designs for our high-end clients. This role will also involve collaborating with cross-functional...
....
. Conducts simulations and analyses of designs as well as implements designs with the best power, performance, and area.
. Collaborates... ...email to
Although this role has some expected minor physical activity, this should not deter otherwise qualified applicants...
...inspiring the world to learn, communicate and advance faster than ever.
JR48489 Implementation Engineer (Evergreen)
Job Responsibilities :
Minimum of 8+ years of hands-on physical design implementation experience along with APR flow development and PPA analysis...
...Job Description
We are looking for Physical Design Engineer with strong RTL2GDS Skills. This role responsibilities include Synthesis... ...PnR, Excellent understanding on different types of CTS implementation. Strong Skills in problem solving, scripting, data analysis and...
...Conducts complex simulations and analyses of designs as well as implements designs with the best power, performance, and area.
•... ...accommodationsupport
Although this role has some expected minor physical activity, this should not deter otherwise qualified applicants...
...offering the IGCSE & CBSE Curricula to students. We are seeking a passionate and experienced Physics cum Math teacher to join our team.
Responsibilities
Develop and implement a comprehensive physics curriculum based on national or international standards.
Design...
Rs 5 - 6 lakhs p.a.
...Job Title: IIT/JEE Physics Lecturer Organization Introduction : Abhyaas Edu Technologies Pvt Ltd is an IIM & NIT alumnus initiative. We are a fast emerging leader in education space training around 5000 students every year through our multiple institutions as below. Abhyaas...
...years' experience candidate in Qualcomm CSI (Custom/SemiCustom implementation) team
Candidate will be part of CSI team working on RTL-... ...responsibilities include design and development of custom macro using
Physical design at HM level using Icc2/Innovus tools
Floorplaaning,...
...Period: 0-15 Days
Job Description:
Minimum 3.5 years of relevant experience is required.
Working experience of Physical Design Implementation
Working experience of Physical Verification, Timing Signoff.
Good attitude to learn and deliver.
Work from office...
...seeking an enthusiastic and dedicated individual to join our institution as an Assistant Physical Director. The successful candidate will assist in the planning, coordination, and implementation of physical education and sports programs to promote health and wellness among...
...We are seeking a dedicated and passionate Math and Physics Teacher to join CBSE-affiliated school in Aurangabad, Maharashtra. The successful... ...improvement.
- Collaborate with colleagues to develop and implement effective teaching strategies and curriculum enhancements in...
...Role - Director, Physical Design Stream - Customer Focused Product Development for Mobility, Industrial, Energy & Telecom
Reporting... ...level knowledge on advance technology node back-end physical implementation, SOC convergence, sign-off and tape-out experience on...
...CTS and routing.
Hands-on experience in block/top level signoff STA, physical verification (DRC/LVS/ERC/antenna) checks and other reliability checks(IR/EM/Xtalk)
Exposure in physical implementation of timing/functional ECO’s
Good knowledge of VLSI process and device...
Experience: 5-15 years
Lead complete ownership of Bufferchip SOC implementation.
Take complete ownership for Block and SOC implementation... ...for independent planning and execution of all aspects of physical design including synthesis, floor planning, place and route, Clock...
...Angels Matriculation Higher Secondary School
Job Overview
As a Physics Teacher at Little Holy Angels Matriculation Higher Secondary... ...and well-structured physics lessons to students.
Create and implement lesson plans that align with the curriculum.
Assign and grade...
...Minimum 3-5 + years Experience in Physical Design engineering Experience serving as Senior physical design engineer or SOC or block coordinator or top level integrator in TSMC 12m or 16nm process and beyond required
Experience running Synthesis-to-GDS ready flows, advanced...
...have an opening for teachers who can teach 11th & 12th grade chemistry for Cambridge and NIOS boards.
Candidates with B.Sc(Chem / Physics) /M.Sc(Chem / Physics) who are passionate about the subject are welcome to apply. Teaching experience is desirable.
Interested...
#128640; Join our exceptional Physics faculty for the IITJEE Classroom Program! #128640;
#127891; Equipped with a stellar academic background including B.Tech, M.Tech, or M.Sc degrees from esteemed institutions such as IIT or NIT, our faculty brings unparalleled knowledge...
...Role: Physical Design Lead Location: Blr/Kochi/Vizag/Ahmedabad
Experience: 10-14 Years
Position Description:
RTL to GDS including, Synthesis + PNR
• Fusion compiler / Cadence flow (Innovus)
• Good understanding Macro placement, Floorplanning, placement CTS, Routing...
About us :
Innerscore I Coschool - Ai for Personalized Learning
Our mission is to enable student success by ensuring learning without knowledge gaps. With our Ai solution, schools can enhance teacher productivity and significantly improve student learning outcomes and...
...Overview
As a Higher Physics Teacher you will play a crucial role in educating and inspiring students in the field of physics. You will be responsible for creating engaging lessons implementing effective teaching strategies and fostering a positive learning environment that...
...Quest Gobal is looking to hire for expertise physical design engineer, experience with Innovus tools,PNR.
Location:: Bangalore,India
Job Description ::
~5+ years industry experience required
~ Experience with Hierarchical design is a plus
~ Experience with ICC...
Apply Now
Implementation Consultant
(View all jobs)Remote
Worksome is on a mission to make work better. We’re dedicated to creating a world where people are more productive and working on what they love. Our platform offers companies a seamless way to hire, manage...
...DaysJob Location : Bangalore : Weekly 3 days WFO Mandatory/ WFH (We can manage)Notice Period : Immediate to 30 days- Conceptualizing and implementing process-based solution (BPM) in banking domain using Pega, JSP, HTML, XML, SOAP and RESTful Web Services specializing in financial...
...Role Physical Education Teacher
Salary 6.0010.00 LPA
Experience 47 Years
Age Limit 35
Location Hyderabad
Required Skills... ...Health Education (PHE) Teacher is responsible for developing and implementing a comprehensive PHE curriculum that aligns with the...