Search Results: 129,765 vacancies
...Knowledge in Electrical physical design like conceptualisation and preparation of switchgear room layout, transformer yard layout, overall raceway layout, building raceway layouts, earthing layouts, Lightning layouts, lighting layouts, providing interdisciplinary inputs, interference...
...Quest Gobal is looking to hire for expertise physical design engineer, experience with Innovus tools,PNR.
Location:: Bangalore,India
Job Description ::
~5+ years industry experience required
~ Experience with Hierarchical design is a plus
~ Experience with ICC...
Hi,
Greetings from Leadsoc Technologies!!
We are hiring physical Design Engineers for Bangalore Location
Experience: 4-9yrs
Location: Bangalore(work from office)
Interested people can share CV to ****@*****.***
Regards
Ramya
Np Immediate joiners only
Location Bangalore Chennai HyderabadPunenoidaKolkataMumbai
Education Bachelor degree
Job Type Contractual
Min Relevant Experience is 6 years.
Work from office
Experience Range : 6 Yrs
Primary Skill Sets : Synthesis ...
...Job Description
Job Description: We are looking for Sr. Physical Design Engineer with strong RTL2GDSii Skills. This role responsibilities include Logic Synthesis, Floor-planning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, Formal verification, VC-LP, DRC...
...Qualcomm India Private Limited
Job Area:
Engineering Group, Engineering Group Hardware... ...Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems... ...this role has some expected minor physical activity, this should not deter otherwise...
...Notice Period: 0-15 Days
Job Description:
Minimum 3.5 years of relevant experience is required.
Working experience of Physical Design Implementation
Working experience of Physical Verification, Timing Signoff.
Good attitude to learn and deliver.
Work from...
...Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware... ...Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems... ...and development of custom macro using
Physical design at HM level using Icc2/Innovus...
...Qualcomm India Private Limited
Job Area:
Engineering Group, Engineering Group Hardware... ...Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems... ...and development of custom macro using
Physical design at HM level using Icc2/Innovus...
...Hands-on experience in floor planning, placement optimizations, CTS and routing.
Hands-on experience in block/top level signoff STA, physical verification (DRC/LVS/ERC/antenna) checks and other reliability checks(IR/EM/Xtalk)
Exposure in physical implementation of timing/...
...Job Description
We are looking for Physical Design Engineer with strong RTL2GDS Skills. This role responsibilities include Synthesis, Floor-planning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, Formal verification, VCLP, DRC/LVS clean-up and delivery of...
...ROLE: Physical Design
Experience : 4 - 10 years
Tool Experience: Innovus is mandatory
Job Description:
Deep understanding of the concepts related to synthesis, place & route, CTS, timing convergence, IR/EM checks and signoff DRC/LVS closure.
Responsible for...
Job Description: Job Profile:
• Need to have Basic understanding of DRM and need to translate that into
• Need to be Proficient in writing Cadence TechLEF and Synopsys TechFile
• Need to have knowledge on how to create Rapid MSOA kits.
• Need to have knowledge...
...Hi Folks,
Greetings from Tech Mahindra!!
Role: Physical Design Engineer
Exp-3-7 years
Location: Bangalore/ Kochi/ Ahemdabad/ Vizag
Job Description
RTL to GDS including, Synthesis + PNR
• Fusion compiler / Cadence flow (Innovus)
• Good understanding Macro...
...Physical Desgn Lead- For a large Service / Solutions Organization Location: Bangalore
About the job
Role Description
As a full-time on-site role for a Lead Physical Design , you will be responsible for owning physical design implementation of complex designs for...
...you are really interested please send me the Updated resume to this mail.
Company :ACL Digital
Company Website :
SR Physical design Engineer(PD) - ACL Digital - Europe based
Full–time
Job Location- Bangalore / Hyderabad
Exp.-4.5+yrs(Industrial experience...
...Exciting Opportunity for Senior Physical DesignEngineer
Are you a seasoned professional with over 3 years of experience in Physical Design? We're looking for a dynamic and skilled individual to join our team!
Key Qualifications:
RTL to GDS including, Synthesis +...
...Position Description: Exp: 7- 12 Yrs
· Perform physical design implementation, including floor planning, power grid design, place and route, clock tree synthesis, timing closure, power/signal integrity signoff, physical verification (DRC/LVS/Antenna), EM/IR signoff, DFM...
...Company:
Qualcomm India Private Limited
Job Area:
Engineering Group, Engineering Group Hardware Engineering
General Summary... ....
Job Description: Good knowledge on CMOS technology and physical design concepts on flooplanning, place and route , CTS, physical verification...
...collaborative, and inclusive of diverse perspectives.
AMD together we advance_
MTS SILICON DESIGN ENGINEER
THE ROLE:
AMD is looking for an experienced SOC Physical Design Lead and deliver the next generation of cutting-edge graphics designs. Role includes executing...