Average salary: Rs389,999 /yearly
More stats ...Lecturers UG Degree
Job Category: Education & Training
Job Type: Full Time
Job Location: Bangalore
Salary: 3-4lakhs
Years of Experience: 2-4yrs
We are looking for Lecturer for PUC English for one of the reputed college in Bangalore.
Location : Off...
...English Lecturer Degree
Job Category: Education & Training
Job Type: Full Time
Job Location: Bangalore
Salary: 3-4lakhs
Years of Experience: 1 to 3 years
We are looking for English Lecturer Degree for a very reputed Group of Institutions in Bangalore....
...Lecturer PUC English & Hindi CTC : -Upto 3.5 LPA Experience :- 1-2 yrs Location :- Bangalore
Job Category: teaching
Job Type: Full Time
Job Location: Bangalore
Salary: 2-3.5L PA
Years of Experience: 1-2yrs
We are looking for Lecturer for PUC English for...
...Pharmaceutics Lecturer
Job Category: Education & Training
Job Type: Full Time
Job Location: Bangalore
Salary: 4-5L PA
Years of Experience: 3-5
We are looking for Pharmaceutics Lecturer for a very reputed Group of Institutions in Bangalore.
Location :...
One of the Reputed Colleges in Bangalore is hiring Accountancy Faculty for PUC Stream.
Qualification: B.Com,M.Com
Experience : Min 2- 5years of releavant teaching experience
Salary Range: 27K PM to 32K PM
Open Positions: 1
Location: Chikka Bellandur, Off Sarjapur...
...strengths in Algebra, Analysis, Combinatorics, Geometry, Mathematical Physics and Number Theory; Bayesian and Monte Carlo Methods,... ...Analysis, and Stochastic Modelling and Fractional Calculus.
The Lecturer - EF carries out teaching and other scholarly activities associated...
...Harward International School CBSE Affiliation No. 830335 Hiring For The Academic Year 2024-25 NEET, JEE Computer, Economics, Biology, Physics Lecturer For Harward Pre University College - Govt. of Karnatak Industry: Education / Training Functional Area: Admin & Office Support/...
...than 20 countries studying across the various colleges, making it a multicultural, diverse educational institute.
1. Positions : Lecturer ( PUC_Political Science)
Education required : PG in relevant subject
Experience : 1+ years
Job Location : Bangalore...
...Minimum 3-5 + years Experience in Physical Design engineering Experience serving as Senior physical design engineer or SOC or block coordinator or top level integrator in TSMC 12m or 16nm process and beyond required
Experience running Synthesis-to-GDS ready flows, advanced...
...Quest Gobal is looking to hire for expertise physical design engineer, experience with Innovus tools,PNR.
Location:: Bangalore,India
Job Description ::
~5+ years industry experience required
~ Experience with Hierarchical design is a plus
~ Experience with ICC...
...Role Description As a full-time on-site role for a Lead Physical Design at SmartSoC Solutions , you will be responsible for owning physical design implementation of complex designs for our high-end clients. This role will also involve collaborating with cross-functional...
...have an opening for teachers who can teach 11th & 12th grade chemistry for Cambridge and NIOS boards.
Candidates with B.Sc(Chem / Physics) /M.Sc(Chem / Physics) who are passionate about the subject are welcome to apply. Teaching experience is desirable.
Interested...
Physics teacher (Senior secondary)
Company Description
GLOBAL CITY INTERNATIONAL SCHOOL is one of the best International Schools located in Bangalore. The school is affiliated with Cambridge Assessment International Education, Central Board of Secondary Education. The...
...Job Description
Job Description: We are looking for Sr. Physical Design Engineer with strong RTL2GDSii Skills. This role responsibilities include Logic Synthesis, Floor-planning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, Formal verification, VC-LP, DRC...
...Job Description
We are looking for Physical Design Engineer with strong RTL2GDS Skills. This role responsibilities include Synthesis, Floor-planning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, Formal verification, VCLP, DRC/LVS clean-up and delivery of...
Looking for Good communication, Good Lab expert handler, Ability to Prepare day to day report , CET and NEET experts. Giraffe Learning
Indian Express Circle, a
Above IDFC Bank,
Cunningham Rd,
Next to Just Dial,
Bengaluru, Karnataka-560052
Apply via email : [...
One of the Reputed CBSE Schools in Bangalore is hiring Physics Teacher for Grades 9 to 12.
Qualification: M.Sc , B.Sc in Physics with Good Communications Skills
Experience : 2 to 5 years of relevant experience
Salary Range: 28 K PM to 30K PM
Open Positions: 1...
Hi,
Greetings from Leadsoc Technologies!!
We are hiring physical Design Engineers for Bangalore Location
Experience: 4-9yrs
Location: Bangalore(work from office)
Interested people can share CV to ****@*****.***
Regards
Ramya
...Experience: 5 to 15 years
Job Location: Bangalore
Job Description:
Minimum 5 years of experience in Physical Design .
Responsible for block level STA/timing closure / pnr.
Well versed with Synopsys PrimeTime or Cadence Tempus or equivalent timing closure tool...
...Lecturer PUC English & Hindi CTC : -Upto 3.5 LPA Experience :- 1-2 yrs Location :- Bangalore
Job Category: teaching
Job Type: Full Time
Job Location: Bangalore
Salary: 2-3.5L PA
Years of Experience: 1-2yrs
We are looking for Lecturer PUC English and Hindi...
...Role - Director, Physical Design Stream - Customer Focused Product Development for Mobility, Industrial, Energy & Telecom
Reporting To - Chief Development Officer / Global Head of Engineering
Location - Bengaluru
COMPANY DESCRIPTION
L&T Semiconductor Technologies...
...end services and value to its customers in India and globally. With expertise in RTL Design, Integration, Verification, STA-Synthesis, Physical Design, and tapeout, NikSperri can execute complete design projects based on specifications or existing designs. The company has...
...with the other design teams.
Job Responsibilities:
Job responsibilities include design and development of custom macro using
Physical design at HM level using Icc2/Innovus tools
Floorplaaning, CTS, Routing using custom methodology.
EM/IR signoff though RedHawk...
...Quest Global
Title :: Physical Verification Engineer
Location :: Bangalore, India
Below is the job description ::
~[( 7nm and below exposure, preferred 3nm)]
~ Own physical convergence(DRC/LVS/ERC/softcheck/ESD/PERC) closure of a complex subsystem in PnR and calibre...
...Hands-on experience in floor planning, placement optimizations, CTS and routing.
Hands-on experience in block/top level signoff STA, physical verification (DRC/LVS/ERC/antenna) checks and other reliability checks(IR/EM/Xtalk)
Exposure in physical implementation of timing/...
...Physical Verification Engineer - ACL Digital ( Europe based )
Full–time
Exp-5+yrs
Job Location- Bangalore/Hyderabad.
Skills- Fullchip level, Innovus , ICC, DRC.
JD-
Good working knowledge of Innovus /ICC2 tool and scripting
Good...
...for Block and SOC implementation depending on the complexity.
Responsible for independent planning and execution of all aspects of physical design including synthesis, floor planning, place and route, Clock Tree Synthesis, Clock Distribution, extraction, Timing closure,...
...Hyderabad Notice Period: 0-15 Days
Job Description:
Minimum 3.5 years of relevant experience is required.
Working experience of Physical Design Implementation
Working experience of Physical Verification, Timing Signoff.
Good attitude to learn and deliver.
Work...
...Hi Folks,
Greetings from Tech Mahindra!!
Role: Physical Design Lead
Exp-7-15 years
Location: Bangalore/Vizag / Kochi / Ahemdabad
Job Description
RTL to GDS including, Synthesis + PNR
• Fusion compiler / Cadence flow (Innovus)
• Good understanding Macro...
...LeadSoc Hiring for Physical Design- Engineer/leads
Experience-PD 5+ years
Location: Bangalore
Notice period: Immediate to 60days
Skills: PNR, Innovus, Icc2, lower nodes, low power.
Experience in block level/full chip/ to level
If interested, you can drop...