Average salary: Rs1,250,000 /yearly
More statsRs 5 - 20 lakhs p.a.
...ASIC verification - Engneer
Individually with/without guidance.
He/She will be involved in developing assertions, RTL development/debugging... ...,Testcases,OVM,VMM,UVM
Designation: ASIC verification Engineer
Vacancies: 4
Experience: 3.0 Year(s) - 7.0 Year(s)...
...built vehicle, while establishing a fully owned and managed, subsidiary, called Chetak Technology Limited.
Job Title- Software Verification Engineer
Work location- Pune
Qualification- BE/ BTech/ ME/ Mtech/ MSc in Computer Science/ Electrical/ Electronics (&...
...Work location: Pune. Experience: 7 to 10 years
Notice period: Immediate only.
Job description:
Verification and Validation Leader:
Good Embedded C experience.
Unit Test Plan Creation, Test Case Creation and Execution.
Polyspace configuration with different...
Job Description :- Experience in automotive software Verification and Validation (V&V)- Experience in achieving A-SPICE process compliance and exposure to ISO26262- Experience in Hardware-In-Loop Benches (dSPACE/NI/ETAS etc.)- Experience developing or enhancing complex/large...
Job Description :- BE/BTech/ME/MTech - Electrical / Electronics / VLSI with an experience as a design and verification engineer.- At least 3 Years of relevant Industry experience - Strong background on functional verification fundamentals, environment planning, test plan generation...
...accelerates complete application code for image, video, audio, radar and other sensor data paths. We are hiring a Sr Design Verification Engineer who must be based in Pune, India and work out of our India office. Join our small, rapidly-growing team at Quadric to develop...
...the product team.
As a Sr Serdes Physical Implementation Engineer, the successful candidate will work on a variety of advanced SERDES... ...Compiler, PrimeTime, Fusion Compiler
Proficient with physical verification tools and flows – ICV, Calibre for LVS, DRC, ERC, PERC...
...be part of a global team of expert design engineers.
Will be working on the next... ...Synthesizable Verilog/ System Verilog RTL coding for ASIC designs and simulation tools
Lint, CDC... ...in the context of IP design and verification is an added advantage
Exposure to Formal...
...that exceeds the standards electrical specifications.
Job Description:
We are looking for a highly motivated Digital Verification Engineer who shall be responsible for the verification of multi-protocol 112G PHY IP. The responsibilities include:
Verification plan...
...products to market quickly with reduced risk.
Senior ASIC and Firmware Design Engineer
Seeking a highly motivated and innovative digital... ...as part of a highly experienced mixed-signal design and verification team, targeting the current and next generation NRZ and PAM...
Job Description:We are seeking a skilled Physical Verification Engineer with 5 - 12 years of experience to join our team. The ideal candidate will have expertise in physical design implementation and signoff at the block level, particularly at 16/7nm technology nodes. Key responsibilities...
...Job Description and Requirements
Job Description - Analog Mixed Signal Verification of High Speed SERDES
Job Responsibilities/Profile:
Define, update test scenarios using the existing SV/UVM test benches to co-simulate mixed signal designs.
Verify the functionalities...
...Job Title: Design Verification Engineer Location: Pune, India
Experience: 5yrs to 15yrs
Job Description:
Expertise in SV-UVM, Testbench development from scratch
RISC-V processor based verification experience
Protocols experience - PCIe/CXL/UCIe/DDR/Ethernet...
JOB DESCRIPTION
Job Title
Architect Test and Verification engineering
Job Description
Architect Test and Verification Engineering.
Your challenge
As Test and Verification Architect you are responsible for the realization and management of Product testing...
...applications. And get differentiated products to market quickly with reduced risk.
We're looking for Automotive Functional Safety Engineers to join our IP team.
Synopsys is seeking a Functional Safety Engineer whose primary mandate is to ensure that Synopsys Automotive...
...LeadLocation : Bangalore, Hyderabad, Chennai, Ahmadabad, Pune.Experience : 10-15 YearsMust Haves :- 10-15 years of proven experience in ASIC design and SoC integration.- Knowledge in linting and CDC analysis.- Good knowledge of PCIe, HBM, and Processor subsystem integration.-...
...regression fails- Protocol: DDR,PCIE,USB,MIPIPreferred Experience:- Should have worked on Processor based System or Sub-system level verification- Hands on experience with assembly, UVM, SV, C++- Experience in developing complex test bench/model in UVM, Verilog, System Verilog...
...of his/her group, technical skill sets
Skill-Sets Required:
B.E./B.Tech in CS/IT or equivalent.
Software Testing and verification experience with Automation and testing.
Experience in people management and performance management.
Experience in medical...
...Job Description: · Lead and coordinate a team of 5 engineers in mixed-signal, RF, analog and digital domain
· Design and guide projects on... ...and mixed-signal design.
~ Successful realization of more than 5 ASIC designs
~ In depth knowledge of Cadence custom IC EDA tools
~...
...customers. Lattice is a worldwide community of engineers, designers, and manufacturing operations... ...sophisticated IP level Design and Verification (DV) environments, craft highly reusable... ...lead of a DV team to verify complex FPGA/ASIC.
Proven track record of working full ASIC...
JOB DESCRIPTION
Job Title
Test and Verification Designer
Job Description
Job title: Test and Verification Designer
The Test and Verification Engineering team which is part of the Quality and Reliability engineering department of Innovation Engineering...
...and Requirements
Senior Applications Engineer
his position requires a highly motivated... ...edge Interface IP (IIP) into their ASIC SoC/systems for next generation products... ...of ASIC / SoC design (such as simulation/verification, RTL synthesis, floor planning, physical...
...System Engineer
Academic Background: bachelor’s degree in engineering life science or other relevant areas
Grade:
Skill Requirement... ...of requirements management; from user needs through verification and validation; experience with requirements management tools....
...Job Duties and Responsibilities
Position: Product Engineer- Drive Shafts
Job Location: DITC Hinjewadi Phase II 411057
Educational... ...element analysis (FEA), prototype builds, DV/PV testing, NVH verification, design for manufacturing, stack-ups, and key supplier development...
...Education: Btech/Mtech Electronics/Electrical engineering
Skills/Experience:
- Experience in Analog Mixed-signal layout and verification of high-speed digital and/or DDR/HBM IP’s.... ...considerations.
- Familiarity with ASIC physical design flow: LEF generation, Place...
...Designation : Design Quality Engineer Location : Pune
Exp : 7+
Np : Imm - 60days
JD:
Be a part of sustaining project team... ...design input, design output, product risk management, usability, verification, and validation efforts (if required) for commercial products....
...results, and for our customers. Lattice is a worldwide community of engineers, designers, and manufacturing operations specialists in... ...Science
~10+ years of experience in software development for FPGA or ASIC EDA software. Familiar with commercial FPGA tools and operation...
...defense sector, advancing indigenous technologies such as Beamforming ASIC, Phase Arrays, AESA Radars, and Proximity Fuze.
Role... ...will be central to providing invaluable insights that support our engineering and development objectives.
Required Skills:
Proficiency...
...Design Engineer
Key Responsibilities:
• Experience of 5-7 years in Medical device industry.
• Exposure to good Documentation Practices and understanding of Design input/ Output/ Verification deliverables.
• Exposure to EUMDR or regulatory requirements will be...
...synthesis, timing closure, power/signal integrity signoff, physical verification (DRC/LVS/Antenna), EM/IR signoff, DFM Closure.
· The candidate... ...in physical design and verification.
· Experienced with ASIC design flow, hierarchical physical design strategies, and methodologies...